Welcome![Sign In][Sign Up]
Location:
Search - Sobel VHDL

Search list

[Fractal programvideoprocessor

Description: 边沿检测,用vhdl实现sobel算子。
Platform: | Size: 8094143 | Author: 大洪 | Hits:

[VHDL-FPGA-VerilogSobel--Image_Filter_An_Image_filtering_VHDL

Description: Sobel--Image Filter (I). An Image filtering is made over data loaded into the on board RAM and presented on a VGA monitor.zip-Sobel-- Image Filter (I). An Image filteri Vi is made over the data loaded into RAM on board a nd presented on a VGA monitor.zip
Platform: | Size: 316416 | Author: 严刚 | Hits:

[Graph programsobel

Description: 图像边缘检测的VERILOG实现,能准确检测图像边缘-Image Edge Detection of Verilog realize that can accurately detect image edge
Platform: | Size: 589824 | Author: 李永杰 | Hits:

[Fractal programvideoprocessor

Description: 边沿检测,用vhdl实现sobel算子。-Edge detection, using VHDL realize sobel operator.
Platform: | Size: 8093696 | Author: 大洪 | Hits:

[VHDL-FPGA-VerilogSobel

Description: 这是一个用VHDL实现SOBEL算子进行图像边缘算法的实现-This is a realization by VHDL Sobel edge operator algorithm
Platform: | Size: 328704 | Author: citydremer | Hits:

[VHDL-FPGA-Verilogedge

Description: 图像处理中边缘检测的VHDL源代码,所用的算法是garbor变换-Image processing edge detection of VHDL source code, the algorithms used are garbor transform
Platform: | Size: 384000 | Author: 翁文天 | Hits:

[VHDL-FPGA-Verilogsobel

Description: SOBEL FILTER IN VHDL
Platform: | Size: 1024 | Author: Randa | Hits:

[VHDL-FPGA-VerilogSobel

Description: Verilog code to calculate Sobel
Platform: | Size: 1024 | Author: lawrence | Hits:

[Mathimatics-Numerical algorithmsedge_detector

Description: image edge detection
Platform: | Size: 3072 | Author: sachin | Hits:

[Mathimatics-Numerical algorithmsedgedetect

Description: image edge detection using vhdl
Platform: | Size: 638976 | Author: sachin | Hits:

[VHDL-FPGA-Verilogsobel

Description: verilog sobel FPGA edge detection-Adopted verilog language realizes sobel edge detection in image processing algorithm
Platform: | Size: 10240 | Author: wkd | Hits:

[VHDL-FPGA-VerilogEdge-detection

Description: 多个边缘检测sobel算子的verilog程序模块。-Multiple edge detection sobel operator verilog program modules
Platform: | Size: 4096 | Author: | Hits:

[VHDL-FPGA-VerilogDE2_CCD_sobel

Description: verilog编写的适用于fpga的3x3模板sobel滤波-verilog fpga prepared for the 3x3 template sobel filter
Platform: | Size: 5596160 | Author: 彭青艳 | Hits:

[VHDL-FPGA-Verilogcode-VHDL

Description: filter sobel on VHDL
Platform: | Size: 804864 | Author: marwa | Hits:

[VHDL-FPGA-Verilogvhdl-program

Description: sobel edge detection using vhdl
Platform: | Size: 108544 | Author: hamed | Hits:

[VHDL-FPGA-VerilogVHDLproject-by-Qian-Yu

Description: 创建一个实时的视频处理器采用了FPGA技术的系统设计与VHDL。在这个项目中,我们实现滑动窗口滤波器,Sobel算子,一系列传感器和数字显示器VGA模块。-create a real-time video processor using FPGA technology in the course System Design with VHDL. In the project we implement modules for sliding window, sobel lter, a range sensor and a number displayer for VGA.
Platform: | Size: 2294784 | Author: Li Chen | Hits:

[VHDL-FPGA-VerilogSobelEdgeDetection

Description: Sobel Edge Detection Algorithm in VHDL
Platform: | Size: 492544 | Author: Angelos | Hits:

[Technology Managementsobel.pdf

Description: This document explain how to implement the Sobel algorithm in VHDL
Platform: | Size: 122880 | Author: daniel moraes | Hits:

[VHDL-FPGA-VerilogSOBEL

Description: 基于VHDL图像边缘检测,可在在仿真波形上看出其边界值(Based on VHDL image edge detection, the boundary value can be seen on the simulation waveform)
Platform: | Size: 4808704 | Author: 吖吖啊啊 | Hits:

[Graph programsobel

Description: sobel算子的vhdl实现,顶层用verilog(vhdl implement on sobel)
Platform: | Size: 8192 | Author: 西风吹牛 | Hits:
« 12 »

CodeBus www.codebus.net